2016年10月18日火曜日

Kicad Mac版のpcbnewでメニューが表示されない件

昨日のエントリに書きましたが,Kicadさんとおつきあいを始めました.(何回めだろう)

はじめMac版のKicadを使って練習していたのですが,いろいろ問題があり挫折してWindows版に浮気しました.

pcbnewでメニューが表示されないのです.
Windows版ではメニューの「デザインルール」から「ネットクラスエディタ」を選んで配線の太さを変更するのですが,Mac版ではそもそも,文字のメニューが存在せず,たどり着けませんでした.
こうなると配線の太さが変えられません.右ボタンで選べるのはすでに登録されているものなので,まず登録しないとはじまらないのです.

今日もいちにち海外の動画やらいろいろ探してみたのですが,特に問題として認識されていないようで皆さん普通に使っています.

きっと自分だけに見えないメニューなんだといじけ始めていた時,ふと原因がわかりました.

なんとびっくり,直接pcbnewを起動すると問題なくメニューが表示されます.

pcbnewをKicadアプリのランチャー(?)から起動するとメニューがKicadアプリから切り替わらないのが原因でした.Eeschemaは問題なく切り替わっていますが, pcbnewはダメみたいです.


なんでもっと早く気づかなかったのだろう....ちゃんちゃん.

もう一度Mac版さんとやり直してみます.

2016年10月17日月曜日

Kicadで基板作成はじめてみました.

前回のMakerFaireで基板作成する際にKicadに乗り換えようかなと思っていたのですが,結局納期優先でEagleで処理をしました.
少し余裕ができたのと,また必要にかられたのでKicadへの乗り換えを始めています.

使用したバージョンはKicad 4.0.2のWindows版. Mac版は最後のおまけに書いているのですが途中で挫折しました.


今回は

からスタートを試みました.

始めに Eeschema を使って回路図を書きます.
これは部品作成に若干手こずりましたが,特に問題なく実施ができました.

ちょっとわかりにくかったのは,
ERCをかける際,PWR_FLAGを電源だけでなくグランドにも指定する.
レギュレータの出力は部品ないにはフラグが入っているようなので,電源部品に繋いだ際は不要.(ERCにでるのでわかる)
あと部品を作る際,電源ピンとグランドピンの「エレクトリックタイプ」はともに「電源入力」でOK.
という点でしょうか.

 次に詰まったのはP. 20のCvPcbを起動するところ.
最新バージョンではランチャーにCvPcbはすでに存在せず,Eeschemaの中にアイコンがあるのでこちらから起動します.

流れは,回路図作成したら,Eeschema内でCvPcbを起動して部品とパッケージを割付して保存,Netlistを書き出してからPcbnewに移るといった具合.

その次に悩んだのは P. 21のフットプリントモードを選択して,「移動配置」→「すべてのモジュールを移動」 のところ.これもメニューが出てきませんでした.

原因はいくつかありうるのですが,
まずメニューの[表示]の中にある「描画キャンバスを表示に切り替え」 にしておかないと右ボタンクリックした時のメニューが異なるようです. (逆もまたしかりでOpenGLモードの時にしか表示されないメニューもある...)

次に部品の上で右ボタンクリックをして「グローバル移動/配置」を選んで「すべてのフットプリントを展開」を選べば部品がばらけます.

作図中に困ったこととして,リニアレギュレータを7805にしてパッケージでTO_SOT_Packages_THT:TO-220_Neutra123_Verticalとすると,この時点でラッツネストが切れています.
回路図でLM7805CTを選ぶとTO-220パッケージにしても問題なく配線がつながるようです.

最後はP. 27のべたパターン内に切り抜きを作るの項です
私の場合,何をどうやっても外形を右ボタンクリックしても「塗りつぶしゾーンの追加」が表示されないなと悩みました.

これも前述のメニューの「表示」の中にある「描画キャンバスを表示に切り替え」を選ぶと表示されるようになります.
押しのけ配線を使おうと思って「描画キャンパスをOpenGL(3D)に切替」しているとこうなります.

描画キャンバスの差によってメニューが何気無く違うのはハマりポイントのような気がします.
参考まで.


おまけ.

当初はMac版を使って試しました.
始めに使用した4.0.1は日本語表示にしてもメニューが日本語になっていませんでしたが,4.0.4にすると日本語で表示されるようになりました.
Eeschemaでは特に問題もなく回路図まで作成ができましたが,Pcbnewでメニューが表示されず詰まりました.Windows版ではメニューの「デザインルール」から「ネットクラスエディタ」を選んで配線の太さを変更するのですが,Mac版ではそもそも,文字のメニューが存在せず,たどり着けませんでした.
また,前述の描画キャンバスの差による影響か,右ボタンを押した際,マニュアルに書いてあるようなメニューが表示されないことが多発して断念しました.
ぼちぼちリベンジしたいと思いますが.
(これは原因がわかりました.解決方法はこちら

2016年10月7日金曜日

macOS Sierra 10.12にアップデートしてhomebrewでエラーが出る

macOS Sierra 10.12にアップデートしましたが,

brew update
と入力すると
Error: /usr/local must be writable!
とエラーが出ます.
パーミッションの問題のようなので
sudo chown -R $(whoami):admin /usr/local
と入力してから再度
brew update
と入力してみます

brew update
/usr/local/Library/Homebrew/cmd/update.sh: line 6: /usr/local/Library/ENV/scm/git: No such file or directory
==> Homebrew has enabled anonymous aggregate user behaviour analytics
Read the analytics documentation (and how to opt-out) here:
  https://git.io/brew-analytics
Error: update-report should not be called directly!

と途中でエラーが出ちゃいましたが

brew update

ともう一度試してみると無事アップデートできて

Homebrew no longer needs to have ownership of /usr/local. If you wish you can
return /usr/local to its default ownership with:
  sudo chown root:wheel /usr/local

と表示されたので指示通り
sudo chown root:wheel /usr/local
でパーミッションを戻して解決したようです.

エラーが出た理由がよくわかりませんが,ま,,,うまくいけばいいか...



2016年9月19日月曜日

格安USB BlasterでOdyssey Max10 評価キットへ書き込み

とんとご無沙汰しているFPGAですが,ぼちぼちいじっています.
前回はOdyssey Max10 評価キットに書き込みを行うところまで紹介しました.

前回はOdysseyの機能を使いましたが今回はUSB Blaster(もどき)を使って書き込む方法を行ってみます.

純正のUSB Blasterはとってもお高いのですが,Amazonをみると1200円となんだかとってもおやすいケーブルがあるので試しに買ってみました.(動かなくても我慢できる値段)

使い方は簡単.
結論からいくとなんら問題なく使用できました.とても安いし,この値段ならわざわざターミナル使わなくてもこれでいいんじゃないかという気がしてきました.


以下メモです.

現物写真.


Odysseyにつないでみたところ. ピンアサインは純正と同じ. 赤い線を左において,左下が1番ピン



書き込みはQuartus Primeの[Tools]から[Programmer]を選択

[Hardware Setup]ボタンを押して[USB-Blaster]を選択します.







あとは[Add File]から前回作成したプロジェクト内の"output_files"フォルダの中にある"led_test.sof"を選択します.




下の図のように表示されると思います.今回は[Start]を押しましょう


うまくいけば前回と同じプログラムが書き込まれているはずです.




2016年9月18日日曜日

MacOSX10.11上でXYZWareが起動できない場合の対処法

MacOSXが10.11にバージョンアップしてからずーっと3Dプリンタ ダヴィンチ用ソフトであるXYZWareでスライス時にエラーが起きて全く使えない状況になりました.

具体的には
「Slicing failed」
"Slicing exited with error!
と表示され実行できないというものです.


バージョンアップのたびに試してはNGを繰り返してすっかり対応しないものとばかり諦めていたのですが,どうやら原因と対応方法がわかりました.

ホームディレクトリにある隠しフォルダを消してから,XYZWareの最新版(2016.9.18現在 2.2.7. MacOSXは10.11.6を使用)をインストールすると問題が消えました.

具体的にはターミナルを開いて

cd ~
sudo rm -r .XYZware

と実行すればOKです.

おそらく非対応バージョンの残骸が残っていてそれが原因でエラーが出ていたようです.
もっと早く気づけばよかった....


2016年8月16日火曜日

Arduino UNOで3 to 8 ラインデコーダ(TC74HC138AP)を使用する

ぼちぼち通常営業に戻ります.
Arduino UNOを使っていてピン数が足らなくなることがあります.
実効的にピンを増やす方法は幾つかありますが,
多ピンのうち1つだけを選択してLOWとして,他は全部HIGHにしたいときには
3 to 8ラインデコーダ(出力用)
8 to 3ラインエンコーダ(入力用)
がシンプルで有用な場合があります.

ここでは3 to 8 ラインデコーダについてのメモを残しておきます.

秋月で手にはいるものとしては
RENESAS製のHD74LS138
TOSHIBA製のTC74HC138AP
があります.
ここではCMOS版でお安いTC74HC138(30円)を使いますがHD74LS138(60円)もピン配置や使い方は同じです.


・ピンアサインと使い方
ピン配置は右の図の通りです.

1~3ピンに出力端子選択の3ビットの情報を入力します.

論理表はデータシートで確認するとして
動作のイメージは1, 2, 3のピンをそれぞれ
LOW, LOW,LOWとした時に
0番の出力(15ピン)がLOWとなり
1, 2, 3のピンをHIGH, LOW, LOWとした時に
1番の出力(14ピン)がLOWとなるといった具合に3ビットで
8チャネルを指定するというものです.


4~6は出力の選択ができます.
6はG1
4はG2A
5はG2B
で, G1がHIGHの時, G2A, G2BがともにLOWの時のみ出力します.
8ピンの制御をするだけであれば,G2A, G2Bはグランドに接続します.
(これらのピンをうまく使うことで並列接続してもっと多数の出力を制御できます)


16ピンは5V
8ピンはグランド
15~9と7が出力0~7に対応し,1~3ピンで指定したピンだけLOWになります.

簡単に実験してみましょう.



回路は写真のようにブレッドボード上で作成しました.
74HC138を置き,電源とGNDを繋いだら,出力0~7に対応する端子にそれぞれLEDのカソードを接続してみます.
アノード側には共通の1kΩの抵抗を通して5Vにつなぎます.

実験に使ったArduinoのスケッチを載せておきます.
void n_out(int npin){
  
  digitalWrite(13,LOW);
  digitalWrite(10, ((npin >>0) & B0001) );
  digitalWrite(11, ((npin >>1) & B0001) );
  digitalWrite(12, ((npin >>2) & B0001) );
  digitalWrite(13,HIGH);

}
void setup() {
  pinMode(10, OUTPUT);
  pinMode(11, OUTPUT);
  pinMode(12, OUTPUT); 
  pinMode(13, OUTPUT); 
}

void loop() {
 int delay_time=100;
 
 for(int i =0; i<8 delay="" delay_time="" for="" i="" int="" n_out="">=0;i--){
 n_out(i);  
 delay(delay_time);
 }   
}


この8ピンのうち一つのLEDを順番に点灯させて行っていることがわかります.


2016年8月14日日曜日

磁気浮上式リニアモーターカー 2016年版

MFT後1週間風邪をひきやっと回復し始めました.
Twitterでいろんな作品があったんだなぁと出展者の悲しみをかみしてめていたところです.

さて,やらないといけないことが溜まっているので通常営業に戻りたいと思います.

ここではMaker Faire Tokyo 2016に出展した磁気浮上式リニアモータカーの関連情報をアップします.

とりあえず動画をアップしておきます.
興味がある方はご覧になってください.



気が向いたら関連情報はここに追記するかもしれません.


2016年8月7日日曜日

Maker Faire Tokyo 2016無事終了しました.

Maker Faire Tokyo 2016が無事終了しました.
ブースを訪れてくださった皆様に本当に感謝いたします.

2日目も「去年やってましたよね?」と声をかけてくださる方が多くいらっしゃって,いろいろトラブルはありましたが,覚えてくださっている方がいるんだなぁとありがたくなりました.

今年初めて見てくださった方もたくさん質問していただき,興味を持ってくださった方がいたのでうれしかったです.

あと,印象的だったのは小学生高学年の子達です.小学生の男の子に去年も見た,来年はこうしてほしいとリクエストもらったり,来年は自分はロボットを出すんだ!と宣言してもらったり.別な子には僕なら回路をこうするよとアドバイスをもらったりととても楽しい時間を過ごさせてもらいました.

時々大きいほうがよかったとのにと心の中の声がうっすら聞こえてきたりもしましたが,カーブを作るのと構成上あれ以上のもしゃもしゃは管理しきれなくなるのでご容赦ください;)


ご覧になれなかった方のためにも今年のまとめの動画でもアップしようかなと思いますのでまた覗いてみてください.

あと,使用回路の幾つかはTIPSを紹介できればなと思います.

それでは改めてありがとうございました.



2016年8月6日土曜日

Maker Faire Tokyo 2016 初日終了と展示内容のちょっとした紹介

今日,明日とMaker Faire Tokyo 2016に浮上式リニアモータカーを出展しています.

今日はたくさんの方にブースに来ていただきありがとうございました.
おかげさまで今年は会場への輸送で壊れることなく,1日動作させ続けても破壊することなくプレゼンテーションができました.
何人かの方に去年みたよと声をかけていただき,本当にありがたい気持ちになりました.


明日こられる方の参考に,現地で動作確認した際の動画をアップしておきます



蓋の中身はもっしゃもしゃです.
是非ブースに遊びにいらしていただき,中身を見てください.
そして力技の結果を笑ってやってください.
ブースはG07-08です.

また明日もよろしくお願いします:)

2016年7月6日水曜日

Maker Faire Tokyo 2016 に出展します その2

Maker Faire Tokyo 2016のプログラム公開になりましたね.

繰り返しですが,去年に引き続き磁気浮上式リニアモーターカーの展示をやろうかと思います.

お初の方のために去年何やったかリンクを貼っておきます.

去年の出展作品についてのエントリ


今年のメインコンセプトは先日のエントリーでも書きましたが,カーブを曲がろうです.
今日はお約束(?)したコンセプト確認用のプロトタイプの動く絵へのリンクをアップしておきます.



例によって力技ですが,なんとか動いたということで優しい目で見守ってやってください.

当日は本番用のレールがお目見えするはずですので,興味をもっていただけた方は遊びに来てください.

それでは



2016年6月4日土曜日

Maker Faire Tokyo 2016 に出展します

2年目になりますが,Maker Faire Tokyo 2016 に申し込みをして採択の連絡をいただきました.

今年は浮上式リニアモーターカーを改良して出品する予定です.

昨年会場でいただいたコメントの中で特に多かった「カーブまがれないの?」に対応しました.

去年の車体だとカーブを曲がるのにかなりのスペースが必要になってしまうので,車体を小型化し,テーブルの上で曲がれるようにしています.

3mあるレールを郵送しぼこぼこに破損したこと,輸送のため解体したコイル,センサ系の膨大な配線を現地で組上げするという無謀なことをやってしまい時間切れになったのが反省点で,小型化して組み上げたまま持ち込むことで運用を改善できればと思っています..
(個人的にはでかい方が触って楽しいのですが,そこはトレードオフでしょうか)

あと「乗り心地わるくない?」にも対応すべく,推進系を側壁に持ってきました.
これにともないいろいろと工夫していますが,動かないとなんのこっちゃなので当日うまく動いたら紹介します.


仮組みしたものの動く絵は後日アップしようかと思います.

お時間があれば,遊びに来てください

2016年5月31日火曜日

Impression 製 Odyssey MAX10 評価キットを使ってFPGAをはじめてみる

半分必要に迫られて,半分は趣味でFPGAを始めてみました.

最近トラ技あたりでもいろいろと話題のFPGAですが,なかなか敷居が高いので躊躇していましたが,マクニカのオンライストアを覗くとImpression 製 Odyssey MAX10 評価キットがキャンペーンで安くなっている(在庫限り5480円)のと,このキットはUSBをつないだだけでとりあえずプログラムを書き込むことができそうだったので購入しました.(マルツオンラインでは6980円で常時販売しています)

記述言語はC言語ライクにかけるとのことなのでVerilog HDLにしています.

ここではとにかくミニマムでプログラムを書いてボードに転送して,
「ボタン押したらボードの上のLEDが光る」
を紹介しようと思います.
これができれば最初の敷居はまたげるとおもうので.

ここでは使用OSは Windowsです.
私はMacOSX10.11.5にVMWare Fusionいれてその上でWindows10の仮想環境を構築しています.

まずは.ものを買わなくてはいけません.次の2点を用意します.

1) FPGAボード
Impression 製 Odyssey MAX10 評価キット
 このボードはUSBケーブル経由でプログラムが書き込める機能があるので手っ取り早く何となく感触をつかむPDCAを回すのには適当かもしれません.(通常データの書き込みには別途そこそこお値段のするUSB Blasterケーブルが必要です)
2) micro-USBケーブル
 PCと接続する,もしくは電源を供給するために必要です.スマホやラズパイで使っているmicro-USBケーブルを流用しました.

この2つがあればとりあえずOK

次にソフトです.
何はともあれAlteraの開発環境Quartus Primeが必要です.

1. Quartus Primeのダウンロード

AlteraのダウンロードセンターのWebページから"Quartus Prime ライト・エディション"のダウンロードボタンをクリック.このバージョンは無償です.Version 15.1以降名前がQuartus Primeに変わったようです.以前の名前はQuartus II ウェブエディションなのでちょっと前の記事やウェブ情報はそうなっています.


OSとダウンロード方法を選んだら
"一式ファイル"を選んで一番上にある 
"Quartus Prime Lite Edition Software (Device support included "
"Quartus-lite-16.0.0.211-windows.tar"選びます.
このファイルは5.9GBあります.
Akamaiを使えば解凍なども面倒を見てくれます.私はダイレクトダウンロードをしました.

2. インストール

インストール内容の確認の際,図1のようにDevicesにMAX10 FPGAが入っていることを確認しておきましょう(細かい表示は違うかもしれません).
 


これで通常の開発は可能です.

3. TeraTermのインストール

Odysseyの機能を使ってUSBケーブル経由でプログラム書き込みを行う場合はもうちょっと手順が増えます.このプログラム書き込みにターミナルが必要になるのでTeraTermもインストールします.

1. TeraTermのダウンロードとインストール
 こちらからどうぞ
2.ドライバのダウンロード
Odyssey Software DownloadsにアクセスしてUtilitiesにある"SiLabs USB Virtual Serial Port Driver"をダウンロードしてインストールします.
3. 設定ファイルのダウンロード
同じく"Utilities"にある"TeraTerm initialization file"も合わせてダウンロードしておきましょう.

これでソフトの準備は完了です.

4. プログラムの作成


1. Quartusを起動し,[File]から[New Project Wizard...]を選びます.

2. 図のようなウィンドウが表示されるので適当なディレクトリを指定します.
   次にprojectの名前とtop-level design entityを入力します.

  ここで入力するtop-level design entityは後でコードを書く際のmodule名前と一致する必要があります.あとでmodule名にled_testという名前を使いますので,揃えておいてください.適当な例文を取ってくるときはtop-level design を例文と揃えて下さい.(コンパイル時にエラーが出てはまります)


3. Project Typeを聞かれるので[Empty project]を選びます




4. Add Filesのウィンドウでプロジェクトに追加するファイルを聞かれますが,そのまま次へ進みます




5. チップの名前を選びます.
m-pressの回路図には"10M08SAU"とあるので近いものを選んでおきましょう(チップの上にセンサー基板がくっついているので外さないとチップが読めません.めんどくさいのでとりあえず近いものを選んでます.)





5. EDA Tool設定の画面も何も変更せずに次に進みます



6. 最後の確認が表示されるのでそのまま[finish]を押します.


6. これでプロジェクトは完成です.次にソースコードを追加します.
メニューの[File]から[New]を選びます.
すると追加するFileの種別を選ぶウィンドウが出てくるので"Verilog HDL File"を選んで,"OK"を押してください.



新たに白紙のソースファイルが作成されるので
ボタンを押したらLEDが光る.ただそれだけのコードを書きます
以下の5行を記入して下さい.
input と outputをつないだだけで, inputにはスイッチPB0, outputにはLED0を指定するつもりです.

module led_test(in_sw, out_led);
input in_sw;
output out_led;
assign out_led = in_sw;
endmodule

(注)ここのモジュールの名前と前出のTOP_level designは同じ名前にしておいてください.




保存すると名前を聞かれますが,デフォルトのまま保存して下さい.
(プロジェクトに追加するチェックボックスが入っているのでそのままプロジェクトにも追加されます)

7. コンパイルをします
[Processing]から[Start] →[Start Analysis & Elaboration]を選んでコンパイルを実行してください.
エラーがなければOKです.

8. 次にピンのアサインをします.
 MAX10の搭載されているボードのドキュメントを確認します.回路図部品配置図からS1(PB0)を押して, D8(LED0)を光らせるようにします.S1(PB0)はK13,   D8(LED0)はL13に接続されていることがわかります.
先ほどのソースコードの"in_sw"をK13に, "out_led"をL13に接続すればよさそうです.
メニューの[Assignments]から[Pin Planner]を選びます.
画面の下にin_swとout_ledが表示されていますが,これはソースコードに記入したinputとoutputが表示されています.
あとはFPGAのピンを"location"で選択します.ここではそれぞれ"PIN_K13"と"PIN_L13"です.
あとはI/Oのタイプを"3.3V LVTTL"とすればOKです.


9.再度コンパイルを行っておきましょう.これでsofファイルができています.



5. データの転送

ここからは通常はBluster Cableを使用するところですが,odysseyの機能を使ってプログラムをUSBケーブルで転送します.この機能の詳細はマクニカの技術情報[1, 2]で詳しく紹介されているのでそちらを参考にしてください.

ここでは理屈はあとで勉強するとしてとにかく最後までやりきりましょう.
 
1. 転送できる形式にデータを書き換えます.
 メニューの[Tools]から[Programmer]を選びます.
新しく起動したウィンドウのメニューの[Edit]から[Add File]を選びます

2." Select Programming File"のウィンドウが開いたら, output_filesのディレクトリの中にある"led_test.sof"を選びます.(このページでは揮発メモリに書き込む例を取り上げています)

"output_file"ディレクトリを開く

led_test.sofを選ぶ



3. Programmerのメニューの[File]から[Create JAM, JBC, SVF or ISC File ...]を選びます


4. 別ウィンドウが出たら"File format"から[Jam STAPL Byte Code]を選びます.あとは[File name]を適当に設定し[OK]を押します.




5. 次にodysseyをUSBケーブルでPCに接続してTera Termを起動します.
 起動したら接続をしようとしますが,一旦キャンセルして設定ファイルを読み込ませます.

メニューの[設定]→[設定の読み込み]を選んで, 事前準備でダウンロードしていた"TeraTerm initialization file"を読み込みます.(手続きが鬱陶しい方はデフォルトに設定した方が良いかもしれません)

メニューが英語になりますが,続いて
[File]から[New connection]
を選びます.

図のように
"Serial Port"で"Silicon Labs CDC...."
を選択します.
これが表示されないときは"SiLabs USB Virtual Serial Port Driver"のインストールができていません.再度やり直してください.


6. Tera Termが起動したら一番左に並んでいるスイッチの上から三番目(S3)を一回押します.

するとTeraTerm上に"Press Enter to continue"と表示されるのでEnterキーを押します.

メニューが表示されるはずです.


7. プログラムの転送

はじめに転送ツールを使ってjbcファイルをodysseyのFlashに送ります.
6までの作業がうまく終わっていればメニューが表示されているので


"5: Download a MAX 10 .jbc file to a specific personality"
を選びます.




"Select File & Send File - in Tera Term and make sure
Binary option box is checked before pressing OK."

と表示されるので指示通り[File]から[Send File]を選んで先ほど作成したjbcファイルを選びます(ファイルは通常output_filesのディレクトリの中です)
 ここで[binary]のチェックを入れるのがポイントです
(入れ忘れると通信が帰ってこなくなるので,TeraTermを閉じて,一旦ケーブルを抜き,はじめからやり直します.)




うまくいけば
"Enter two digit Personality number (01 through 10)"
と表示されているのでMax10へのプログラム書き込みを指定します.
ここではデフォルトで空いている7番目に書き込みます.
"07"と入力してEngterを押してください.
(出荷段階で7~10は空いているようです.)

終われば"File loaded"と表示されます.



7. プログラムのConfigure

メニューに戻るので次にプログラムを使用可能にします.

"6: Program MAX 10 using .jbc file from a specific personality"
を選びます.
Enter two digit Personality number (01 through 10)
と表示されるので先ほど保存した07を選ぶため
07
と入力します

すると


などと表示されるのでConfigureを行うため
0
を入力します.

これで
Device #1
 IDCODE is 031820DD
configuring SRAM device(s)...
DONE
Exit code = 0... Success
Elapsed time = 00:00:11
Complete

などと表示されればOKです.

全て完了です.

6. push the button ! 


S1を押してみてください.LEDが光るはずです.


ちなみに今回の回路は揮発メモリに書いているので電源を落とすと消えます.
Flashには残っているので,手順17のConfigureをやり直せば再度実行できます.
電源消えても消えないように書き込むこともできます.[2]を参考にしてみてください.

長い道のりでしたがなんとかたどり着きました.

動いてみるとFPGA結構楽しくなってきますね.



参考文献
[1]マクニカオンライン, [操作編] MAX 10 評価キット Odyssey の FPGA に microUSB ポート経由でコンフィギュレーション・データを転送してLチカ!"
[2]マクニカオンライン, "[概要編] MAX 10 評価キット Odyssey の FPGA に microUSB ポート経由でコンフィギュレーション・データを転送してLチカ!






2016年5月16日月曜日

VMWare上でXYZWareが起動できない場合の対処法(改善版)

以前ちょっと取り上げた
MacOSXにVMWareを入れ,その上でWindows 8 or 10をインストール, そのOS上でXYZWareを使うための覚書の改善版です.

前回の紹介から
MaxOSX 10.11.4
XYZWare 2.1.4(MacOSX版)
になっていますが,うまくスライスができません.
一方でVMWare上のXYZWareの方も
VMWare 8.1.1
XYZWare 2.1.9.6
にバージョンアップしていますが,デバイスドライバがらみの問題で
やはりうまく起動しません.

前回はVMWareのドライバをオフしてしまう方法を紹介していましたが,
3Dの機能制限をかければ解決できました.
この場合解像度を下げる必要がないので使いやすくなります.

やり方は一旦VMを終了したあとで
VMWareの「仮想マシン」のメニューから「設定」を選んで「ディスプレイ」を選びます.
「3Dグラフィックの高速化」のチェックを外せばOKです.
再起動後はVMWareが問題なく立ち上がると思います
これで解像度は高いまま作業ができるようになります.



2016年4月3日日曜日

MacOSX 環境で ngspiceをインストールする

MacOSX にSPICEソフトngspiceをインストールための覚書です.

ソースコードからコンパイルもできますが,homebrewを使うのが簡単のようです.

ただ
homebrew install ngspice
と入力するとグラフが表示されないので
homebrew install ngspice --with-x
と入力するようにしましょう.
3〜4分待てばインストール完了です.

インストール後はターミナルから
ngspice
と入力すると裏で合わせxQuartzも起動します.
起動したターミナルには
ngspice 2 ->
と表示されngspiceの環境に入ります.
終了するときは
exit
と入力してください.

計算させたいときは
source hoge.cr
と読み込みたいネットリストを入力し,
run
と入力すると結果が表示される.
plot v(3)
などとグラフを書かせるとグラフウィンドウが表示されるはずです.


2016年3月22日火曜日

VMWare上でXYZWareが起動できない場合の対処法

ちょっとマニアックな話ですが,
MacOSXにVMWareを入れ,その上でWindows 8 or 10をインストール, そのOS上でXYZWareを使うための覚書です.


環境はMacOSX 10.11.3にVMWare8.1.0をインストールし,VMWareの仮想環境上にWindows10をインストールしています.
そこでXYZWare2.1.8.2(3Dプリンタ ダヴィンチ用の制御ソフトWindows版)を起動しようとすると起動時にエラーが起きます.

これはVMWareのドライバであるVMToolsに問題があるためでVMToolsをWindowsのアプリケーションから削除すれば起動します.
しかし,共有フォルダを経由したファイルのやり取りができなくなるのでとても不便です.

これにはディスプレイドライバの機能だけを停止すればもう少し便利に解決できます.
デスクトップを右ボタンクリックして「ディスプレイの設定」を選び,表示されたウィンドウの下の方にある「ディスプレイの詳細設定」を選びます.
さらに表示されたウィンドウの一番下にある「アダプターのプロパティ」を選びます.
するとディスプレイドライバの設定が表示されます.

「プロパティ」をクリックして新たにウィンドウが表示されたら「ドライバー」のタブから「無効」を選びます.

これでVMWareのディスプレイドライばだけが無効になるので共有の機能などはそのままです.
画面表示が1152*864とかなり悲しいことになりますが,使えないよりはいいかなと思います.

*もっとましな方法を見つけました.こちらをご覧ください


2016年3月14日月曜日

続・XYZware 2.1.2 でスライス中にエラーが起こる

XYZware2.1.2でスライス中にエラーが起こる問題ですが,
MacOSX上ではXYZWareが10.11.3に対応していないようで,現状解決方法はなさそうです.

一方,VMWareでXYZwareが起動しない問題は原因がわかりました.
どうもWindowsにインストールされてるVMToolsが悪さをしているようです.
Windows内のアプリケーションの追加と削除から"VMTools"を選んでアンイストールすると起動するようになりました.

支障が出る場合も多いですが,なんとかだましだまし使用することもできそうです.

2016年3月10日木曜日

XYZware 2.1.2 でスライス中にエラーが起こる

ダヴィンチ1.0 Pro がでましたね.
どの程度印刷品質が上がっているのか,レーザー刻印がどの程度綺麗にできるかが気になります.


今日の話題は
1/19にアップデートされていたXYZware2.1.2についてです.

最近いろいろと立て込んでいたので久しぶりにXYZwareを起動しました.
最新版へのアップデートをオススメされたので素直に従いました.
しかし,アップデート後,私の環境では印刷をしようとすると


とエラーが表示されてしまいます.

最新版にアップデートすると動かなくなることがよくあるのでその時はVMWare8.1上のWindowsに逃げているのですが,こちらはそもそも起動時にエラーで止まってしまいます.


困りました.
久々に使ったのでどの時点から使えなくなっていたのか確認できません.
なんとなくMacOSX10.11.3が悪い気もしますが,現状では解決策が見当たりません.


そこではるか昔に購入したネットブック東芝のUX23KBL)に登場してもらいました.
メモリ2GBと貧弱なスペックで画面が小さく今となっては全く使われていない子です.

Windows版のXYZwareをインストールし立ち上げると
メモリが2GBじゃ足りないので4GBにしてくださいとか
OpenGLが1.4では古いので2.1以降を入れてくださいとか
注意されますが,無視しても取り敢えず問題なくスライス&印刷はできました.
当面はこの子で乗り切ろうと思います.